Pređi na sadržaj

Spisak HDL simulatora

S Vikipedije, slobodne enciklopedije

Lista HDL simulatora HDL simulatori su softverski paketi koji kompajliraju i simuliraju izraze napisane na jednom od jezika za opis hardvera.

Istorija[uredi | uredi izvor]

HDL simulacioni softver prošao je dug put razvoja od svog porekla kao pojedinačni proizvod koji nudi jedna kompanija. Danas, simulatori su dostupni od mnogih proizvođača po različitim cenama, a ima i onih besplatnih. Za radnu/ličnu upotrebu Aldec, Mentor, LogicSim, SynaptiCAD,TarangEDA i drugi nude alatke za ispod 5000 dolara za Windows 2000/XP platformu. Paketi sadrže simulator sa kompletnim razvojnim okruženjem: uređivač teksta, pregledač talasa, pretraživač RTL-nivoa. Pored toga, izdanja ograničene funkcionalnosti kao što su Aldec i ModelSim simulatori mogu se preuzeti besplatno od njihovih odgovarajućih OEM partnera (Microsemi, Altera, Lattice Semiconductor, Xilinx i ostali). Za one koji žele softver otvorenog izvora, tu su Icarus Verilog, GHDL između ostalih.

Pored nivoa radne površine, simulatori na nivou preduzeća pružaju brže vreme simulacije, snažniju podršku za različite simulacije u VHDL i Verilog jeziku, a najvažnije, provereni su za vremenski preciznu (SDF-zabeleženo) simulaciju nivoa ulaza. Poslednja tačka je kritična za ASIC tejpaut proces, kada se dizajn baze podataka pusti u proizvodnju. (Livnice poluprovodnika predviđaju upotrebu alata odabranih sa odobrene liste, kako bi dizajn kupca dobio status odjave. Iako kupac nije obavezan da obavi bilo kakvu proveru odjave, ogromna cena narudžbine generalno je obezbedila temeljnu validaciju dizajna od strane kupca.) Tri glavna simulatora nivoa ulaza uključuju Cadence Incisive Enterprise simulator, Mentor ModelSim/SE i Synopsys VCS. Cena nije otvoreno objavljena, ali sva tri proizvođača naplaćuju $ 25,000- $ 100,000 USD po osobi, jednogodišnju licencu zasnovanu na vremenu.


FPGA dobavljači ne zahtevaju skupe simulatore preduzeća za njihov dizajn toka. Zapravo, većina proizvođača uključuje OEM verziju treće strane HDL simulatora u svom dizajnerskom paketu. Kompletan simulator se dobija tako što se uzme početni nivo ili izdanje sa niskim kapacitetom i kompletira se sa bibliotekama uređaja koje proizvodi FPGA.Za dizajn koji cilja FPGA sa visokim kapacitetom, preporučuje se samostalni simulator, jer OEM verziji može nedostajati kapacitet ili brzina da efikasno upravlja velikim dizajnom.

Ispod je lista različitih HDL simulatora.

Komercijalni simulatori[uredi | uredi izvor]

Lista HDL simulatora
Naziv simulatora Autor/Kompanija Jezik Opis
Active-HDL/Riviera-PRO Aldec VHDL-1987,-1993,-2002,-2008,V1995,V2001,V2005,SV2009 Simulator sa kompletnim dizajnerskim okruženjem namenjenim FPGA aplikacijama. Aldec licencira Active-HDL FPGA-prodavcima, a osnovni motor se može naći u dizajnerskim paketima tih prodavaca. Dok Active-HDL predstavlja jeftin proizvod, Aldec takođe nudi i skuplji simulator sa visokim performansama pod nazivom "Riviera-PRO". Sa naprednim mogućnostima otklanjanja grešaka, cilj je verifikacija velikih FPGA i ASIC uređaja koristeći formalne metodologije verifikacije kao što je potvrda zasnovana na tvrdnji.
Aeolus-DS Huada Empyrean Software Co.,Ltd V2001 Aeolus-DS je deo Aeolus simulatora koji je dizajniran da simulira krug mešovitih signala. Aeolus-DS podržava čistu Verilog simulaciju.
CVC Tachyon Design Automation V2001, V2005 CVC je Verilog HDL kompilirani simulator. CVC ima sposobnost simulacije u interpretiranom ili kompiliranom modu.
Incisive Enterprise Simulator ('big 3') Cadence Design Systems VHDL-2002, V2001, SV2009 Cadence je u početku kupio Gateway Design, čime je kupio Verilog-XL. Kao odgovor konkurenciji sa bržim simulatorima, Cadence je razvio svoj sopstveni kompjuterski simulator, NC-Verilog. Moderna verzija porodice NCsim, pod nazivom Incisive Enterprise Simulator, uključuje Verilog, VHDL i SystemVerilog podršku. Takođe pruža podršku za elektronski verifikacioni jezik i brzu SystemC simulaciju kernela.
ISE Simulator Xilinx VHDL-93, V2001 Xilinx-ov simulator dolazi u paketu sa ISE Design Suite-om. ISE Simulator (ISim) pruža podršku za simulaciju jezika mešovitog režima uključujući, ali ne ograničavajući se na simulaciju dizajna ciljanih za Xilinx, FPGA i CPLD
ModelSim and Questa ('big 3') Mentor Graphics VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012 Originalni Modeltech (VHDL) simulator je bio prvi mešoviti simulator koji je sposoban simulirati VHDL i Verilog dizajnerske entitete zajedno. ModelSim 5.8 je 2003. godine bio prvi simulator koji je počeo da podržava funkcije Accellera SystemVerilog 3.0 standarda. Mentor je 2005. godine predstavio Questa za pružanje visokih performansi Verilog i SystemVerilog simulacije i proširio mogućnosti verifikacije na napredne metodologije kao što su Assertion Based Verification i Functional Coverage. Danas je Questa vodeći SystemVerilog i kombinovani simulator koji podržava kompletnu metodologiju uključujući industrijske standarde OVM i UVM. ModelSim je i dalje vodeći simulator za FPGA dizajn.
MPSim Axiom Design Automation V2001, V2005, SV2005, SV2009 MPSim je brzi kompajlirani simulator sa potpunom podrškom za Verilog, SystemVerilog i SystemC. Uključuje Designer, integrated Verilog i SystemVerilog okruženje za debagovanje i ima ugrađenu podršku za višeprocesorsku simulaciju.
PureSpeed Frontline V1995 Prvi Verilog simulator dostupan za Windows operativni sistem. FrontLine je prodat Avant!-u 1998. godine, koju je Synopsys kasnije kupio 2002. Synopsys je prekinuo da koristi Purespeed u korist svog dobro uspostavljenog VCS simulatora.
Quartus II Simulator (Qsim) Altera VHDL-1993, V2001, SV2005 Altera-in simulator u paketu sa softverom Quartus II dizajniran u izdanju 11.1 i novijim izdanjima. Podržava Verilog, VHDL i AHDL.
SILOS Silvaco V2001 Kao jedan od niskih troškova interpretiranih Verilog simulatora, SILOS III je uživao veliku popularnost devedesetih. Simucad-ova najnovija verzija Silos-X prodaje se kao deo alata.
SIMILI VHDL Symphony EDA VHDL-1993 Još jedan jeftin VHDL simulator sa grafičkim korisničkim interfejsom i integrisanim talasnim prikazivačem. Njihova veb stranica nije ažurirana već duže vreme. Više ne možete kupiti softver. Besplatna verzija radi, ali morate da zahtevate licencu putem e-pošte.
SMASH Dolphin Integration V1995, V2001, VHDL-1993 SMASH je mešani signal, višejezični simulator za IC ili PCB dizajn. Koristi SPICE sintaksu za analogne opise, Verilog-HDL i VHDL za digitalne, Verilog-A/AMS, VHDL-AMS i ABCD (kombinaciju SPICE i C) za analogno ponašanje i C za DSP algoritme.
Speedsim Cadence Design Systems V1995 Simulator zasnovan na ciklusu originalno je razvijen u DEC. Programeri DEC su se okrenuli kako bi formirali Quickturn Design Systems. Quickturn je kasnije kupio Cadence, koji je 2005. godine obustavio proizvod. Speedsim je predstavio inovativnu slotnu bit-reznu arhitekturu koja je simulirala simulaciju do 32 ispitivanja paralelno.
Super-FinSim Fintronic V2001 Ovaj simulator je dostupan na više platformi, tvrdeći da je usklađen sa IEEE 1364-2001.
VCS ('big 3') Synopsys VHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012 Prvobitno su ga razvili John Sanguinetti, Peter Eichenberger i Michael McNamara pod start-ap kompanijom Chronologic Simulation, koju je 1994. godine preuzeo ViewLogic Systems. ViewLogic Systems je preuzeo Synopsys 1997. godine. VCS je u kontinuiranom aktivnom razvoju i razvio je simulaciju sa kompiliranim kodom, native testbenč i SystemVerilog podršku, kao i objedinjene tehnologije kompajlera. Danas VCS pruža sveobuhvatnu podršku za sve funkcionalne verifikacije metodologije i jezike (uključujući VHDL, Verilog, SystemVerilog, Verilog AMS, SystemC, i C/C++) i napredne simulacione tehnologije, uključujući prirodnu nisku snagu, x-propagaciju, analizu nedostupnosti i fino-zrnast paralelizam.
Verilogger Extreme, Verilogger Pro SynaptiCAD V2001,V1995 Verilogger Pro je jeftini interpretirani simulator zasnovan na EElliot Mednick's VeriWell kodnoj bazi. Verilogger Extreme je noviji simulator kompajliranog koda koji je Verilog-2001 kompatibilan i mnogo brži od Pro-a.
Verilog-XL Cadence Design Systems V1995 Originalni Verilog simulator, Gateway Design's Verilog-XL je prvi (i samo, za određeni vremenski) Verilog simulator koji se kvalifikuje za ASIC (validaciju) odjavljivanje. Nakon što je kupio Cadence Design Systems, Verilog-XL se tokom godina veoma malo menjao, zadržavajući interpretirani jezički mehanizam i zamrzavajući jezičke podrške na Verilog-1995. Cadence preporučuje Incisive Enterprise Simulator za nove dizajnerske projekte, jer se XL više ne razvija aktivno. Uprkos tome, XL nastavlja da se koristi u kompanijama sa velikim brojem Verilog kodnih baza. Mnoge ranije Verilog kodne baze su simulirane samo u Verilog-XL, zbog razlike u implementaciji drugih simulatora.
Veritak Sugawara Systems V2001 On je niskobudžetni i nalazi se samo na bazi Windows-a. Ima ugrađeni prikazivač talasa i brzo izvršenje.
Vivado Simulator Xilinx VHDL-93, V2001 Xilinx Vivado Simulator dolazi u sklopu dizajna Vivado dizajna. To je simulator kompajliranog jezika koji podržava mešani jezik, TCL skripte, šifrovane IP adrese i poboljšanu verifikaciju. Vivado je usmeren na Vivado više nego na FPGA i polako zamenjuje ISE kao njihov glavni lanac alata. Od sredine 2014. godine, Vivado pokriva Vivado srednju skalu i velike FPGA, a ISE pokriva srednje veličine i manje FPGA i sve CPLD.
Z01X WinterLogic V2001,SV2005 Razvijen kao simulator za greške, ali se takođe može koristiti kao logički simulator.

Neki ne-besplatni komercijalni simulatori (kao što je ModelSim) su dostupni u studentskim ili evaluacionim / demo izdanjima. Ova izdanja generalno imaju mnoge funkcije onemogućene, proizvoljne granice dizajna simulacije, ali se nude besplatno.

Besplatni i simulatori sa otvorenim kodom[uredi | uredi izvor]

Lista Verilog simulatora
Naziv simulatora Licenca Autor/kompanija podržani jezici Opis
GPL Cver GPL Pragmatic C Software V1995, minimal V2001 Ovo je GPL besplatan simulator. On je samo simulator. Ovaj simulator nije u potpunosti kompatabilan sa IEEE 1364-2001 standardom. On ne podržava generičke i konstantne funkcije.

.

Icarus Verilog GPL2+ Stephen Williams V1995, V2001, limited V2005/V2009 Poznatiji i kao iverilog. Dobra podrška za Verilog 2005, uključuje generičke i konstantne funkcije.
LIFTING A. Bosio, G. Di Natale (LIRMM) V1995 LIFTING (LIRMM Fault Simulator) Je besplatan simulator koji može da izvrši i logiku i simulaciju greška za pojedinačne/ višestruke zaglavljene greške i pojedinačne događaje (SEU) na digitalnim kolima opisanim u Verilog-u.
OSS CVC Perl style artistic license Tachyon Design Automation V2001, V2005 CVC je Verilog HDL kompiliran simulator. CVC ima mogućnost simulacije u interpretiranom ili kompiliranom modu. Izvorni kod dostupan je pod licencom Perl style artistic.
TkGate GPL2+ Jeffery P. Hansen V1995 Urednik digitalnih kola i simulator sa događajima sa tcl/tk GUI baziranim na Verilog. Uključuje Verilog simulator Verga.
Verilator GPL3 Veripool Synthesizable V1995, V2001, V2005, SV2005, SV2009, SV2012 Ovo je vrlo brz pokretni besplatni simulator koji kompilira Verilog u C++/SystemC.
Verilog Behavioral Simulator (VBS) GPL Lay H. Tho and Jimen Ching V1995 Podržava funkcije, zadatke i instanciju modula. I dalje nedostaje mnogo funkcija, ali ovo izdanje ima dovoljno za VLSI ,za studente da koriste i uče Verilog.Podržava samo koncepte ponašanja Verilog-a i minimalne simulacijske konstrukcije kao što su "početne" izjave.
VeriWell GPL2 Elliot Mednick V1995 ?Ovaj simulator je bio komercijalni, ali je nedavno postao besplatan.Usklađenost sa 1364 nije dobro dokumentovana. Nije u potpunosti saglasan sa IEEE 1364-1995.
Lista VHDL simulatora
Ime simulatora Licenca Autor/kompanija Podržani jezici Opis
GHDL GPL2+ Tristan Gingold VHDL-1987, VHDL-1993, VHDL-2002, partial VHDL-2008 GHDL je kompletan VHDL simulator koji je baziran na GCC tehnologiji.
Icarus Verilog GPL2+ Maciej Sumiński

Stephen Williams

VHDL predporesor konvertuje kod u VHDL-u u Verilog kod.
nvc GPL3 Nick Gasson VHDL-1993

Ključ[uredi | uredi izvor]

Tag Opis
V1995 IEEE 1364-1995 Verilog
V2001 IEEE 1364-2001 Verilog
V2005 IEEE 1364-2005 Verilog
SV2005 IEEE 1800-2005 SystemVerilog
SV2009 IEEE 1800-2009 SystemVerilog
SV2012 IEEE 1800-2012 SystemVerilog
VHDL-1987 IEEE 1076-1987 VHDL
VHDL-1993 IEEE 1076-1993 VHDL
VHDL-2002 IEEE 1076-2002 VHDL
VHDL-2008 IEEE 1076-2008 VHDL

Reference[uredi | uredi izvor]


Vidi još[uredi | uredi izvor]